默认值

使用“默认”表达式类型可以在源为空时分配默认值。如果源不为空,则分配源值。

请按如下格式使用参数:default(Dimension, "Default Value")。例如,要为用户定义的 "Version" 维分配默认值 "Working",请指定 default(UD1, "Working") 作为表达式。在此示例中,结果将为 Working。